On tập Kỹ thuật vi xử lý

HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG


PHẠM HOÀNG DUY HOÀNG XUÂN DẬU

BÀI GIẢNG

KỸ THUẬT VI XỬ LÝ

HÀ NỘI 2013

Tài liệu được biên soạn dựa trên cuốn “Kỹ thuật Vi xử lý” của tác giả Văn Thế Minh,

các tài liệu tham khảo khác, và dựa trên trao đổi kinh nghiệm giảng dạy với các đồng nghiệp và phản hồi của sinh viên tại Học viện Công nghệ Bưu chính Viễn thông. Tài liệu có thể được

dùng làm tài liệu học tập cho sinh viên đại học, cao đẳng ngành công nghệ thông tin. Trong

quá trình biên soạn, dù đã có nhiều cố gắng song không tránh khỏi thiếu sót, nhóm tác giả

mong nhận được các góp ý cho các thiếu sót cũng như ý kiến cập nhật và hoàn thiện nội dung

của tài liệu.

Hà nội, 2013

Nhóm tác giả

MỤC LỤC

  • LỜI NÓI ĐẦU MỤC LỤC
  • MỤC LỤC
  • Chương 1. TỔNG QUAN VỀ VI XỬ LÝ VÀ HỆ VI XỬ LÝ
      1. GIỚI THIỆU VỀ VI XỬ LÍ
      1. HỆ VI XỬ LÍ
      1. CÁC ĐẶC ĐIỂM CẤU TRÚC CỦA VI XỬ LÍ................................................
    • 3 Cấu trúc căn bản
      • 3.1 Các thanh ghi
      • 3.1 Đơn vị xử lý số học và lô-gíc ALU
      • 3.1 Đơn vị điều khiển CU
      • 3.1 Kiến trúc RISC và CISC
      1. LỊCH SỬ PHÁT TRIỂN VÀ PHÂN LOẠI CÁC BỘ VI XỬ LÍ
    • 4 Giai đoạn 1971-1973
    • 4 Giai đoạn 1974-1977
    • 4 Giai đoạn 1978-1982
    • 4 Giai đoạn 1983-1999
    • 4 Giai đoạn 2000-2006
    • 4 Giai đoạn 2007-nay
  • Chương 2. BỘ XỬ LÝ INTEL
      1. CẤU TRÚC BÊN TRONG CỦA
    • 1 Sơ đồ khối...........................................................................................................
      • 1.1 Đơn vị giao tiếp bus BIU và đơn vị thực thi EU
      • 1.1 Các thanh ghi
    • 1 Phân đoạn bộ nhớ của 8086................................................................................
      1. BỘ ĐỒNG XỬ LÍ TOÁN HỌC 8087................................................................
      1. TẬP LỆNH CỦA
    • 3 Khái niệm lệnh, mã hoá lệnh và quá trình thực hiện lệnh
    • 3 Các chế độ địa chỉ của
      • 3.2 Chế độ địa chỉ thanh ghi
      • 3.2 Chế độ địa chỉ tức thì
    • 4 Ví dụ MỤC LỤC
    • 4 Ví dụ
    • 4 Ví dụ
  • Chương 4. PHỐI GHÉP VI XỬ LÍ VỚI BỘ NHỚ VÀ CÁC THIẾT BỊ VÀO/RA
      1. CÁC TÍN HIỆU CỦA VI XỬ LÍ VÀ CÁC MẠCH PHỤ TRỢ
    • 1 Các tín hiệu của
    • 1 Phân kênh để tách thông tin và việc đệm cho các bus
    • 1 Mạch tạo xung nhịp
    • 1 Mạch điều khiển bus 8288..................................................................................
    • 1 Biểu đồ thời gian của các lệnh ghi/đọc
      1. PHỐI GHÉP VI XỬ LÍ VỚI BỘ NHỚ
    • 2 Giới thiệu bộ nhớ
    • 2 Giải mã địa chỉ cho bộ nhớ.................................................................................
      • 2.2 Giới thiệu
      • 2.2 Thực hiện mạch giải mã bằng các mạch lô-gíc đơn giản.......................
      • 2.2 Thực hiện bộ giải mã dùng mạch giải mã tích hợp
      • 2.2 Thực hiện bộ giải mã dùng PROM
      1. PHỐI GHÉP VI XỬ LÍ VỚI THIẾT BỊ VÀO RA
    • 3 Giới thiệu về thiết bị vào/ra
    • 3 Giải mã địa chỉ thiết bị vào ra
      • 3.2 Giới thiệu
      • 3.2 Các mạch cổng đơn giản
      1. GIỚI THIỆU MỘT SỐ VI MẠCH HỖ TRỢ VÀO RA
    • 4 Ghép nối song song dùng 8255A
      • 4.1 Giới thiệu
      • 4.1 Lập trình 8255A
    • 4 Truyền thông nối tiếp
      • 4.2 Mạch USART 8251A
  • Chương 5. CÁC PHƯƠNG PHÁP VÀO RA DỮ LIỆU
      1. GIỚI THIỆU.......................................................................................................
      1. VÀO/RA BẰNG PHƯƠNG PHÁP THĂM DÒ
      1. VÀO/RA BẰNG NGẮT
    • 3 Giới thiệu
    • 3 Bộ xử lý ngắt ưu tiên PIC 8259A MỤC LỤC
      • 3.2 Các khối chức năng chính của 8259A
      • 3.2 Các tín hiệu của 8259A
      • 3.2 Lập trình cho PIC 8259A
      1. VÀO/RA BẰNG TRUY NHẬP TRỰC TIẾP BỘ NHỚ
    • 4 Khái niệm về phương pháp truy nhập trực tiếp vào bộ nhớ
    • 4 Các phương pháp trao đổi dữ liệu
      • 4.2 Trao đổi cả một mảng dữ liệu
      • 4.2 Treo CPU để trao đổi từng byte
      • 4.2 Tận dụng thời gian CPU không dùng bus để trao đổi dữ liệu
    • 4 Bộ điều khiển truy nhập trực tiếp bộ nhớ Intel 8237A
      • 4.3 Giới thiệu
      • 4.3 Các tín hiệu của 8237A
      • 4.3 Các thanh ghi bên trong của DMAC 8237A
      • 4.3 Các lệnh đặc biệt cho DMAC 8237A....................................................
      • 4.3 Lập trình cho các thanh ghi địa chỉ và thanh ghi số đếm
  • Chương 6. CÁC BỘ VI ĐIỀU KHIỂN
      1. GIỚI THIỆU VỀ VI ĐIỀU KHIỂN VÀ CÁC HỆ NHÚNG
    • 1 Giới thiệu
    • 1 Các kiểu vi điều khiển
      1. HỌ VI ĐIỀU KHIỂN Intel
    • 2 Sơ đồ khối.........................................................................................................
    • 2 Các thanh ghi
    • 2 Tập lệnh
      1. GIỚI THIỆU MỘT SỐ ỨNG DỤNG TIÊU BIỂU CỦA VI ĐIỀU KHIỂN
    • 3 Chuyển đổi số tương tự (D/A)
    • 3 Chuyến đổi tương tự số (A/D)
  • Chương 7. GIỚI THIỆU MỘT SỐ VI XỬ LÍ TIÊN TIẾN
      1. CÁC VI XỬ LÍ TIÊN TIẾN DỰA TRÊN KIẾN TRÚC INTEL IA-32
    • 1 Giới thiệu IA-32
    • 1 Các vi xử lý hỗ trợ IA-32
      1. CÁC VI XỬ LÍ TIÊN TIẾN DỰA TRÊN KIẾN TRÚC INTEL IA-64
      1. CÁC VI XỬ LÍ TIÊN TIẾN CỦA SUN MICROSYSTEMS
  • TÀI LIỆU THAM KHẢO

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

ý nghĩa tổng quát hơn so với thuật ngữ “máy vi tính”, vì máy vi tính chỉ là một dạng cụ thể của hệ vi xử lý. Hình 1-1 giới thiệu sơ đồ khối tổng quát của một hệ vi xử lý.

Hình 1-1. Sơ đồ khối của hệ vi xử lý

Trong sơ đồ Hình 1-1, ta thấy rõ các khối chức năng chính của hệ vi xử lý gồm:  Khối xử lý trung tâm (CPU);  Bộ nhớ bán dẫn (ROM-RAM);  Khối phối ghép với các thiết bị ngoại vi (I/O Interface);  Các bus truyền thông tin địa chỉ, dữ liệu và điều khiển. Ba khối chức năng CPU, Bộ nhớ và Khối phối ghép liên hệ với nhau thông qua tập các đường dây để truyền tín hiệu gọi chung là Bus hệ thống (System bus). Bus hệ thống bao gồm 3 bus thành phần bus địa chỉ, bus dữ liệu và bus điều khiển tương ứng để truyền dẫn các tín hiệu địa chỉ, dữ liệu và điều khiển_._

CPU đóng vai trò chủ đạo trong hệ vi xử lý. Đây là một mạch vi điện tử có mật độ tích hợp rất cao và có khả năng lập trình được. Khi hoạt động, CPU đọc mã lệnh được ghi dưới dạng các bít 0 và bít 1 từ bộ nhớ, sau đó sẽ giải mã các lệnh này thành các dãy xung điều khiển ứng với các thao tác trong lệnh để điều khiển các khối khác thực hiện từng bước các

Bộ xử lý trung tâm (CPU)

Thanh ghi trong

Bộ nhớ (ROM-RAM)

Thanh ghi ngoài

Phối ghép vào/ra (I/O)

Thanh ghi ngoài

Thiết bị vào

Thiết bị ra

Bus địa chỉ

Bus điều khiển

Bus dữ liệu

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

thao tác đó. Để làm được việc này bên trong CPU có một thanh ghi dùng để chứa địa chỉ của lệnh tiếp theo được thực hiện, gọi là thanh ghi con trỏ lệnh (Instruction Pointer, IP) hoặc bộ đếm chương trình (Program Counter, PC), một số thanh ghi đa năng để lưu trữ địa chỉ dữ liệu tạm thời và bộ tính toán số học và lô-gíc (Arithmetic Logic Unit ALU) để thao tác với dữ liệu. Ngoài ra, CPU còn có bộ điều khiển (Control Unit, CU) để giải mã lệnh và từ đó tạo ra các xung điều khiển cho toàn hệ vi xử lý.

Bộ nhớ bán dẫn hay còn gọi là bộ nhớ trong (Internal memory) là một bộ phận khác rất quan trọng của hệ vi xử lý. Bộ nhớ trong thường gồm bộ nhớ ROM và bộ nhớ RAM. Bộ nhớ ROM dùng để lưu chương trình điều khiển hoạt động của toàn hệ thống để khi bật điện thì CPU có thể lấy lệnh từ đây để khởi động hệ thống. Một phần của chương trình điều khiển hệ thống, các chương trình ứng dụng, dữ liệu cùng các kết quả của chương trình thường được đặt trong RAM. Các dữ liệu và chương trình cần lưu trữ lâu dài hoặc có dung lượng lớn sẽ được lưu ở bộ nhớ ngoài.

Khối phối ghép vào/ra (I/O Interface) tạo ra khả năng giao tiếp giữa hệ vi xử lý với thế giới bên ngoài. Các thiết bị ngoại vi như bàn phím, chuột, màn hình, máy in, các bộ chuyển đổi số/tương tự ( D/A Converter, DAC ) và chuyển đổi tương tự/số (A/D Converter , ADC ), các ổ đĩa,... đều giao tiếp với bộ vi xử lý thông qua bộ phận này. Bộ phận phối ghép cụ thể giữa bus hệ thống với thế giới bên ngoài thường được gọi là cổng (Port). Như vậy ta sẽ có các cổng vào để lấy thông tin từ ngoài vào và các cổng ra để đưa thông tin từ trong ra. Tùy theo nhu cầu cụ thể của công việc, các mạch cổng này có thể được xây dựng từ các mạch lôgic đơn giản hoặc từ các vi mạch chuyên dụng lập trình được.

Bus địa chỉ (Address bus) trong hệ thống bus thường có 8, 16, 20, 24, 32 hay 64 đường dây song song chuyển tải thông tin của các bít địa chỉ. Khi đọc/ghi bộ nhớ, CPU sẽ đưa ra trên bus này địa chỉ của ô nhớ liên quan. Khả năng phân biệt địa chỉ (số lượng địa chỉ cho ô nhớ mà CPU có quản lý được) phụ thuộc vào số bít của bus địa chỉ. Ví dụ nếu một CPU có số đường dây địa chỉ là N=16 thì nó có khả năng địa chỉ hóa được 2N = 65536 =64 kilô ô nhớ khác nhau (1K= 2 10 =1024). Khi đọc/ghi với cổng vào/ra CPU cũng đưa ra trên bus địa chỉ các bít địa chỉ tương ứng của cổng. Trên sơ đồ khối ta dễ nhận ra tính một chiều của bus địa chỉ qua một chiều của mũi tên. Chỉ có CPU mới có khả năng đưa ra địa chỉ lên bus địa chỉ.

Bus dữ liệu (data bus) thường có 8, 16, 32, 64 (hoặc hơn) đường dây tùy theo các bộ vi xử lý cụ thể. Số lượng đường dây của bus dữ liệu quyết định số bít dữ liệu mà CPU có khả năng xử lý cùng một lúc. Chiều mũi tên trên bus dữ liệu chỉ ra rằng đây là bus 2 chiều , nghĩa là dữ liệu có thể truyền đi từ CPU ( dữ liệu ra) hoặc truyền đến CPU ( dữ liệu vào). Các phần tử có đầu ra nối thẳng với bus dữ liệu đều phải được trang bị đầu ra 3 tạng thái để có thể ghép vào được và hoạt động bình thường với bus này.

Bus điều khiển (control bus) thường gồm hàng chục đường dây tín hiệu khác nhau. Mỗi tín hiệu điều khiển có một chiều nhất định vì khi hoạt động CPU đưa tín hiệu điều khiển tới các khối khác trong hệ. Đồng thời, CPU cũng nhận tín hiệu điều khiển từ các khối đó để phối hợp hoạt động của toàn hệ. Các tín hiệu này trên hình vẽ được thể hiện bởi các đường có mũi tên 2 chiều, điều đó không phải là để chỉ tính hai chiều của một tín hiệu mà là tính hai chiều của cả một nhóm các tín hiệu.

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

iii. Thanh ghi địa chỉ bộ nhớ: chứa địa chỉ của dữ liệu. Vi xử lý sử dụng địa chỉ này như là các con trỏ trực tiếp tới bộ nhớ. Nội dung của ô nhớ có địa chỉ này chính là dữ liệu đang được trao đổi và xử lý. iv. Thanh ghi tổng: còn được gọi là thanh ghi tích lũy ( accumulator ). Thanh ghi này có kích thước 8, 16, 32 hoặc 64 bít và thường dùng thể lưu kết quả tính toán của đơn vị xử lý số học và lô-gíc ALU. Thanh ghi này còn được dùng để trao đổi dữ liệu với các thiết bị vào/ra.

3.1 Đơn vị xử lý số học và lô-gíc ALU

ALU thực hiện tất cả các thao tác xử lý dữ liệu bên trong vi xử lý như là các phép toán lô-gíc, số học. Kích cỡ ALU tương ứng với kích cỡ từ của vi xử lý. Vi xử lý 32 bít sẽ có ALU 32 bít. ALU chứa nhiều khối chức năng thực hiện các thao tác trên các dữ liệu. Một vài chức năng tiêu biểu của ALU:

  1. Thực hiện các phép toán số học số nguyên và các phép toán lô-gíc;
  2. Tính số bù một của dữ liệu;
  3. Dịch hoặc quay trái phải các thanh ghi dùng chung.
3.1 Đơn vị điều khiển CU

Chức năng chính của đơn vị điều khiển CU là đọc và giải mã các lệnh của chương trình từ bộ nhớ. Để thực hiện lệnh, CU kích hoạt khối phù hợp trong ALU căn cứ vào mã lệnh (op- code) trong thanh ghi lệnh. Mã lệnh xác định các thao tác để CU thực thi. CU thông dịch nội dung của thanh ghi lệnh và sau đó sinh ra một chuỗi các tín hiệu điều khiển tương ứng với

Đơn vị điều khiển CU

Bus d

ữ li

ệu

Đơn vị số học và lô-gíc ALU

Các thanh ghi

Hình 1-2. Sơ đồ khối chức năng vi xử lý

Thanh ghi lệnh Thanh ghi địa chỉ Thanh ghi tạm

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

lệnh nhận được. Các tín hiệu điều khiển này kích hoạt các khối chức năng phù hợp bên trong ALU thực hiện lệnh.

CU sinh ra các tín hiệu điều khiển và chuyển tới các thành phần khác của vi xử lý qua bus điều khiển. Ngoài ra, CU cũng đáp ứng lại các tín hiệu điều khiển trên bus điều khiển do các bộ phận khác gửi tới. Các tín hiệu này thay đổi theo từng loại vi xử lý. Một số tín hiệu điều khiển tiêu biểu như khởi động lại RESET, đọc ghi (R/W), tín hiệu ngắt (INT/IRQ),...

3.1.3 Thực hiện chương trình

Để chạy chương trình, vi xử lý thường lặp lại các bước sau để hoàn thành việc thực hiện từng lệnh:

  1. Nạp ( Fetch ). Vi xử lý nạp (đọc) lệnh từ bộ nhớ chính vào thanh ghi lệnh.
  2. Giải mã ( Decode ). Vi xử lý giải mã hay dịch lệnh nhờ đơn vị điều khiển CU. CU nhập nội dung của thanh ghi lệnh và giải mã để xác định kiểu lệnh và các vi thao tác của lệnh.
  3. Thực hiện ( Execute ). Vi xử lý thực hiện lệnh. Để hoàn thành nhiệm vụ, CU sinh ra một chuỗi các tín hiệu điều khiển tương ứng với lệnh và chuyển tới các đơn vị chức năng của ALU để thực hiện.
  4. Lưu kết quả ( Write back ). Vi xử lý lưu kết quả thực hiện lệnh (nếu có) vào các thanh ghi. Quá trình trên được lặp đi lặp lại cho đến câu lệnh cuối cùng của chương trình. Trong các vi xử lý tiên tiến quá trình thực hiện lệnh được cải tiến cho phép nhiều lệnh được thực hiện xen kẽ với nhau. Tức là, câu lệnh kế tiếp sẽ được thực hiện mà không cần chờ câu lệnh hiện thời kết thúc. Kỹ thuật trên được gọi là kỹ thuật xử lý xen kẽ các dòng lệnh, hay kỹ thuật đường ống (pipeline). Việc thực hiện xen kẽ các lệnh cho phép nâng cao tốc độ thực hiện của vi xử lý và làm giảm thời gian chạy chương trình.
3.1 Kiến trúc RISC và CISC

Có hai kiểu kiến trúc vi xử lý: kiến trúc với tập lệnh rút gọn ( Reduced Instruction Set Computer-RISC ) và kiến trúc với tập lệnh phức tạp ( Complex Instruction Set Computer- CISC ). Vi xử lý RISC nhấn mạnh tính đơn giản và hiệu quả. Các thiết kế RISC khởi đầu với tập lệnh thiết yếu và vừa đủ. RISC tăng tốc độ xử lý bằng cách giảm số chu kỳ đồng hồ trên một lệnh. Mục đích của RISC là tăng tốc độ hiệu dụng bằng cách chuyển việc thực hiện các thao tác không thường xuyên vào phần mềm còn các thao tác phổ biến do phần cứng thực hiện. Như vậy làm tăng hiệu năng của máy tính. Các đặc trưng căn bản của vi xử lý kiểu RISC:

  1. Thiết kế vi xử lý RISC sử dụng điều khiển cứng ( hardwared control ), không hoặc rất ít sử dụng vi mã. Tất cả các lệnh RISC có định dạng cố định vì vậy việc sử dụng vi mã không cần thiết.
  2. Vi xử lý RISC xử lý hầu hết các lệnh trong một chu kỳ.

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

 Tốc độ thực hiện lệnh: 10-16s/lệnh với tần số đồng hồ fclk = 0, 1- 0, 8 MHz.  Tập lệnh đơn giản phải cần nhiều mạch phụ trợ mới tạo nên một hệ vi xử lý hoàn chỉnh.

4 Giai đoạn 1974-

Các bộ vi xử lý đại diện trong thế hệ này là các vi xử lý 8 bít 6502 của MOS Technology, 6800 và 6809 của Motorola, 8080 và 8085 của Intel và đặc biệt là bộ vi xử lý Z80 của Zilog. Các bộ vi xử lý này có tập lệnh phong phú hơn và thường có khả năng phân biệt địa chỉ bộ nhớ 16 bít với dung lượng đến 64KB. Có một số bộ vi xử lý còn có khả năng phân biệt được 256 địa chỉ cho các thiết bị ngoại vi (họ Intel và Zilog). Chúng đã được sử dụng rộng rãi trong công nghiệp. Tất cả các bộ vi xử lý thời kì này đều được sản xuất bằng công nghệ NMOS (với mật độ linh kiện trên một đơn vị diện tích cao hơn so với công nghệ PMOS) hoặc CMOS (tiết kiệm điện năng tiêu thụ) cho phép đạt được tốc độ từ 1-8 s/lệnh với tần số đồng hồ fclk = 1-5 MHz.

4 Giai đoạn 1978-

Các bộ vi xử lý trong thế hệ này có đại diện là các bộ vi xử lý 16 bít 8086/80186/ của Intel hoặc 86000/86010 của Motorola. Ưu điểm hơn hẳn so với các bộ vi xử lý 8 bít thế hệ trước là các bộ vi xử lý 16 bít có tập lệnh đa dạng với các lệnh nhân, lệnh chia và các lệnh thao tác với chuỗi kí tự. Khả năng phân biệt địa chỉ cho bộ nhớ hoặc cho thiết bị ngoại vi của các vi xử lý thế hệ này cũng lớn hơn (từ 1MB đến 16 MB cho bộ nhớ và tới 64K địa chỉ cho thiết bị ngoại vi đối với họ Intel). Đây là các bộ vi xử lý được dùng trong các máy IBM PC, PC/XT, PC/AT và các máy Macintosh của Apple. Phần lớn các bộ vi xử lý trong thế hệ này đều được sản xuất bằng công nghệ HMOS và cho phép đạt được tốc độ từ 0, 1-1s/lệnh với tần số đồng hồ fclk = 5-10 MHz.

4 Giai đoạn 1983-

Các bộ vi xử lý đại diện trong thế hệ này là các vi xử lý 32 bít 80386/80486 và 64 bít Pentium của Intel, gồm có Pentium Pro với thiết kế bộ nhớ đệm tích hợp trên cùng vi mạch xử lý, Pentium MMX với các mở rộng cho đa phương tiện, Pentium II, Pentium III. Hãng Motorola cũng đưa ra các vi xử lý 32 bít 68020/68030/68040 và các vi xử lí 64 bít 68060/64. Đặc điểm của các bộ vi xử lý có số lượng transistor rất lớn (từ vài 3 triệu đến trên 50 triệu transistor. Phần lớn các bộ vi xử lí mới thực hiện nhiều hơn 1 lệnh trong một chu kỳ và tích hợp đơn vị xử lí dấu phẩy động FPU ( Floating-Point Unit ). Chúng có các thanh ghi dùng chung 16-32 bít. Nhiều loại có phân biệt các tệp thanh nghi 32 bít ( register file ) cho đơn vị nguyên IU ( Interger unit ) và tệp thanh ghi 32 bít cho FPU. Chúng có bộ nhớ đệm bên trong mức 1 với dung lượng lên tới 64 KB. Đa số bộ nhớ đệm mức 1 được phân đôi: dùng cho lệnh ( Instruction cache-ICache ) và dùng cho dữ liệu ( Data cache-DCache ). Các bộ vi xử lí công nghệ cao hiện nay ( Advanced microprocessors ) đã thoả mãn các yêu cầu chế tạo các máy tính lớn và các siêu máy tính. Các vi xử lí thời này có bus địa chỉ đều là 32 bít (phân biệt 4 GB bộ nhớ) và có khả năng làm việc với bộ nhớ ảo. Người ta cũng áp dụng các cơ chế hoặc các cấu trúc đã được sử dụng trong các máy tính lớn vào các bộ vi xử lí: cơ chế xử lý xen kẽ liên tục

CHƯƠNG 1. TỔNG QUAN VỀ VI XỬ LÍ VÀ HỆ VI XỬ LÍ

dòng mã lệnh (pipeline), bộ nhớ đệm (cache), bộ nhớ ảo. Các bộ vi xử lý này đều có bộ quản lý bộ nhớ ( MMU). Chính nhờ các cải tiến đó mà các bộ vi xử lý thế hệ này có khả năng cạnh tranh được với các máy tính con ( Minicomputer ) trong rất nhiều lĩnh vực ứng dụng. Phần lớn các bộ vi xử lý thế hệ này đều được sản xuất bằng công nghệ HCMOS.

Bên cạnh các bộ vi xử lý vạn năng truyền thống thường được sử dụng để xây dựng các máy tính với tập lệnh phức tạp (Complex instruction set computer, CISC) đã đề cập ở trên, trong thời gian này cũng xuất hiện các bộ vi xử lý cải tiến dùng để xây dựng các máy tính với tập lệnh rút gọn (Reduced instruction set computer, RISC) với nhiều tính năng có thể so sánh với các máy tính lớn ở các thế hệ trước. Đó là các bộ vi xử lý Alpha của Digital, PowerPC của tổ hợp hãng Apple- Motorola- IBM... Sự ra đời của các vi xử lý loại RISC chính là sự bắt đầu cho một thế hệ khác trong lịch sử phát triển của các thế hệ vi xử lý.

4 Giai đoạn 2000-

Các vi xử lý Intel trong thời gian này thể hiện quan điểm nâng cao hiệu năng của bộ vi xử lý và hệ thống máy tính bằng việc nâng cao xung nhịp. Phiên bản Intel Pentium 4 đã tăng xung hịp từ 1,5 GHz năm 2000 tới 3GHz vào năm 2002. Vi kiến trúc tiêu biểu cho các vi xử lý này là Netburst với khả năng nâng cao xung nhịp gấp 4 lần xung nhịp của hệ thống. Ngoài ra, Intel giới thiệu công nghệ siêu phân luồng tăng hiệu năng cho hệ thống đa nhiệm và đa luồng. Công nghệ siêu phân luồng cho phép thực hiện 2 luồng ảo song song trên 1 bộ vi xử lý vật lý.

Việc nâng cao xung nhịp nhanh chóng đẩy các bộ vi xử lý tới ngưỡng vật lý về điện và nhiệt năng tỏa ra. Thực tế cho thấy đây không phải là phương pháp hiệu quả để tăng hiệu năng của hệ thống. Hãng AMD, một trong những đối thủ cạnh tranh trực tiếp của Intel, nhấn mạnh việc tăng hiệu năng qua việc nâng cao tốc độ thực hiện các lệnh trong một chu kỳ máy. AMD là một trong những hãng đầu tiên tích hợp nhiều bộ giải mã và bộ điều khiển bộ nhớ vào bên trong đơn vị xử lý trung tâm CPU, và tăng kích thước bộ nhớ đệm mức 1 lên tới 128KB. Các bộ vi xử lý Athlon 64, Opteron là bộ vi xử lý tiêu biểu của AMD, có tốc độ xung nhịp thấp hơn như hiệu năng thì không hề thua kém các vi xử lý có xung nhịp cao hơn của Intel. Đặc biệt về mức tiêu thụ điện và mức tỏa nhiệt thì vi xử lý của AMD tốt hơn hẳn vi xử lý của Intel nhờ có các công nghệ kiểm soát tiêu thụ điện.

Trong giai đoạn này cũng chứng kiến sự bùng nổ về việc phát triển bộ vi xử lý cho các máy tính xách tay. Yêu cầu rất quan trọng với thiết bị này là hiệu năng xử lý đủ mạnh nhưng mức tiêu thụ điện phải đủ thấp để máy tính có thể hoạt động lâu dài bằng pin. Các bộ vi xử lý di động của Intel Pentium Mobile đã triển khai các giải pháp dung hòa hai yêu cầu trên bằng các nâng cao khả năng xử lý lệnh trên 1 chu kỳ xung nhịp, nâng cao bộ nhớ đệm mức 2 lên 1MB, điều khiển xung nhịp vi xử lý (Speedstep) theo yêu cầu của ứng dụng. Bộ vi xử lý di động đầu tiên hoạt động ở tần số 1,6GHz có thể giảm xung nhịp xuống tới 200MHz khi rỗi, có hiệu năng tương đương với Pentium 4 ở tần số trên 2GHz.

Một sự kiện quan trọng trong giai đoạn này là sự ra đời của các bộ vi xử lý 2 nhân cho các máy vi tính. Các hệ thống đa xử lý trước kia chỉ có trong môi trường máy chủ hoặc máy trạm hiệu năng cao. Năm 2005 Intel đưa ra vi xử lý đa nhân đầu tiên Pentium D với hai nhân

CHƯƠNG 2. BÔ XỬ LÍ INTEL 8086/

Chương 2. BỘ XỬ LÝ INTEL 8086

1. CẤU TRÚC BÊN TRONG CỦA 8086

Intel 8086 là bộ vi xử lý 16 bít đầu tiên của Intel và là vi xử lý đầu tiên hỗ trợ tập lệnh x86. Vi xử lý được sử dụng trong nhiều lĩnh vực khác nhau, nhất là trong các máy IBM PC/XT. Các bộ vi xử lý thuộc họ này vẫn được sử dụng rộng rãi trong một thời gian dài do

tính kế thừa của các sản phẩm trong họ x86. Các chương trình viết cho 8086 vẫn có thể chạy trên các hệ thống tiên tiến sau này.

1 Sơ đồ khối

Trong sơ đồ khối cho như trên Hình 2-1, vi xử lý 8086 có hai khối chính BIU và EU. Về chi tiết, vi xử lý này bao gồm các đơn vị điều khiển, số học và lô-gíc, hàng đợi lệnh và tập các thanh ghi. Chi tiết các khối và đơn vị chức năng này được trình bày trong phần tiếp sau.

1.1 Đơn vị giao tiếp bus BIU và đơn vị thực thi EU

Theo sơ đồ khối trên Hình 2-1, CPU 8086 có 2 khối chính: Đơn vị giao tiếp BIU (Bus Interface Unit ) và đơn vị thực hiện EU ( Execution Unit ). Việc chia CPU ra thành 2 phần làm

Hình 2-1. Sơ đồ khối 8086

CHƯƠNG 2. BÔ XỬ LÍ INTEL 8086

việc đồng thời có liên hệ với nhau qua đệm lệnh làm tăng đáng kể tốc độ xử lý của CPU. Các bus bên trong CPU có nhiệm vụ chuyển tải tín hiệu giữa các khối. Hệ thống bus trong của CPU gồm bus dữ liệu 16 bít của ALU, bus điều khiển của EU và bus trong của BIU. Các bộ đệm được sử dụng để kết nối giữa bus trong CPU với bus ngoài (bus hệ thống) nhằm nâng cao tính tương thích cho phối ghép.

BIU đưa ra địa chỉ, đọc mã lệnh từ bộ nhớ, đọc/ghi dữ liệu với bộ nhớ, hoặc cổng vào ra. Nói cách khác BIU chịu trách nhiệm đưa địa chỉ ra bus và trao đổi dữ liệu với bus. Mã lệnh đọc từ bộ bộ nhớ được BIU nạp vào bộ đệm lệnh (còn được gọi là hàng đợi lệnh) với dung lượng 6 byte, hoạt động theo nguyên tắc FIFO dùng để chứa các mã lệnh chờ EU xử lý_._

EU bao gồm một đơn vị điều khiển, khối này có bộ giải mã lệnh. Mã lệnh từ bộ đệm lệnh được đưa đến đầu vào của bộ giải mã, nơi lệnh được giải mã kiểu và sinh các vi thao tác. Các thông tin thu được từ đầu ra của bộ giải mã sẽ được đưa đến mạch tạo xung điều khiển, kết quả là ta thu được các dãy xung khác nhau trên kênh điều khiển (tuỳ theo mã lệnh) để điều khiển hoạt động của các bộ phận bên trong và bên ngoài CPU. Ngoài ra, EU còn có khối số học và lôgic ( Arithmetic and Logic Unit - ALU ) dùng để thực hiện các thao tác khác nhau với các toán hạng của lệnh. Tóm lại, EU sẽ cung cấp thông tin về địa chỉ cho BIU để khối này đọc lệnh và dữ liệu, còn bản thân EU thì giải mã lệnh và thực hiện lệnh.

1.1 Các thanh ghi

1.1.2 Các thanh ghi đoạn

Bộ vi xử lý 8086 chia bộ nhớ cấp cho một chương trình máy tính thành các đoạn ( Segment ) theo nội dung chúng lưu trữ, như đoạn chứa mã lệnh, đoạn chứa dữ liệu,... Để quản lý các đoạn nhớ, bộ vi xử lý 8086 sử dụng các thanh ghi 16 bít lưu địa chỉ bắt đầu của các đoạn nhớ và chúng được gọi là các thanh ghi đoạn ( Segment Registers ). Có 4 thanh ghi đoạn, gồm:

 Thanh ghi đoạn mã CS ( Code-Segment)  Thanh ghi đoạn dữ liệu DS ( Data Segment )  Thanh ghi đoạn ngăn xếp SS (Stack Segment)  Thanh ghi đoạn dữ liệu phụ ES ( Extra Segment ). Bốn thanh ghi đoạn lưu địa chỉ bắt đầu của bốn đoạn nhớ cùng tên, gồm đoạn mã CS, đoạn dữ liệu DS, đoạn ngăn xếp SS và đoạn mở rộng ES. Dung lượng lớn nhất của mỗi đoạn nhớ này là 64 KByte. Tại một thời điểm nhất định bộ vi xử lý chỉ làm việc với một trong bốn đoạn nhớ kể trên. Ngoài địa chỉ bắt đầu của đoạn lưu trong thanh ghi đoạn, vi xử lý 8086 sử dụng thêm một thanh ghi khác lưu địa chỉ của ô nhớ cần truy nhập trong đoạn. Thanh ghi này gọi là thanh ghi lệch ( offset register ). Chi tiết về dạng địa chỉ ô nhớ được trình bày ở mục 1.

1.1.2 Các thanh ghi đa năng

Trong khối EU có bốn thanh ghi đa năng 16 bít, gồm AX, BX, CX, DX. Mỗi thanh ghi đa năng có thể được dùng cho nhiều mục đích khác nhau, nhưng mỗi thanh ghi cũng được